Title
Coventor: Semiconductor Process Modeling & MEMS Design Automation
Go Home
Description
Coventor is the leading supplier of semiconductor virtual fabrication and process modeling software, and MEMS design automation software.
Address
Phone Number
+1 609-831-2326 (US) | Message me
Site Icon
Coventor: Semiconductor Process Modeling & MEMS Design Automation
Page Views
0
Share
Update Time
2022-05-04 18:07:16

"I love Coventor: Semiconductor Process Modeling & MEMS Design Automation"

www.coventor.com VS www.gqak.com

2022-05-04 18:07:16

LOG INREGISTERCOMPANYABOUTCAREERSPRESS RELEASEPRESS COVERAGEEVENTSPRODUCTSSEMulator3D®Semiconductor Process ModelingCoventorMP®MEMS Design AutomationCoventorWare®MEMS+®SOLUTIONSSEMICONDUCTOR SOLUTIONSMEMS SOLUTIONSRESOURCESCASE STUDIESBLOGVIDEOSCONTACTSUPPORTContact Us PREDICTING ACTUAL FROM VIRTUAL Advanced software platforms that solve process modeling, design automation, and integration challenges EliminatingTrial-and-ErrorEngineeringCoventor’s software platforms help address the challenges of advanced semiconductor fabrication and micro-electromechanical systems (MEMS) design automation.Semiconductor TechnologyDevelop and optimize manufacturing process flows, reducing time consuming and costly experimental learning cycles.Learn MoreMEMS/IoT TechnologyDesign and integrate MEMS and IoT devices significantly faster, without developing and maintaining custom models.Learn MoreWhat Our Customers Say About UsWe have obtained critical, counter-intuitive insights that we wouldn’t have easily discovered if we were using experimental wafer-based testing...SEMulator3D allows us to understand critical flow marginalities and enables us to devise alternative solutions. SEMulator3D is the most “silicon-accurate” process modeling software for these complex process variation studies. It provides high value to imec and our partners, by accelerating and reducing development cycles and limiting the time and cost of wafer-based cycles of learning.IMECThe latest CoventorMP product release delivered a breakthrough in MEMS design capabilities. With the introduction of GDS import capabilities and the ability to mesh arbitrary geometry in MEMS+, we can quickly and accurately evaluate the performance of a MEMS design at both the device and system level. MEMS+ allows us to easily iterate on design changes and improvements to meet our product performance goals. ADI has greatly benefitted from Coventor’s advances in MEMS design automation, and we look forward to continuing our long-term collaboration with Coventor.Analog DevicesVirtual fabrication with SEMulator3D allows engineers to readily develop and test a large number of process step combinations and novel process flows using multiple physical design patterns, which wouldn’t be possible in a real manufacturing environment or would be costly to perform. The model for each process step can be calibrated through experimental measurements, resulting in very reliable result-models that can be reused in multiple combinations.Teledyne DALSAThe Verilog-A Reduced Order Model (ROM) exported from MEMS+ captures second order effects not seen in basic hand-crafted models without any compromise in simulation performance. We were able to create a Verilog-A ROM of a complex gyro design in just a few minutes, allowing our ASIC team to work in parallel with the MEMS team on further design iterations. (….) The robust model exchange between MEMS and ASIC designers enabled by MEMS+ reduces the probability of design error and can help avoid costly redesign iterations needed to address unexpected behavior.MurataSEMulator3D is our tool of choice for experimenting with new technologies and ideas. We also use SEMulator3D to create 3D cross sections for documentation and training of new colleagues. The ability to generate 3D pictures and animations makes it much easier to explain a process.Infineon Technologies Austria AG3D Model in Action…Using a semiconductor process simulation developed by Coventor, this 3D model illustrates a small section of a FinFET device at high resolution. The graphic displays a portion of the structure that is approximately 600 nm on each edge (roughly the wavelength of orange light). Each of the 17 colors represents a different material in the manufacturing process.The model is fully integrated, highly predictive and extremely accurate, displaying all processes from starting wafer through FEOL to the back end metal layers. It highlights the advanced virtual wafer fabrication capabilities of SEMulator3D, including MultiEtch, Visibility-Limited Deposition, Selective Epitaxy and other semiconductor fabrication processes.(Credit: Peter Fried, ARC-3D and the NYU Department of Applied Physics)Explore the interactive 3D model on-screen, or with a VR headsetPlease note: Internet Explorer is not supported.Latest News & ResourcesNewsCoventor and CMC Microsystems expand collaboration to further enable innovation in semiconductor and microsystem technology development November 17, 2020Coventor Delivers a Breakthrough in Advanced MEMS Design Capabilities July 17, 2020>> View all press releasesBlogsThere is Plenty of Room at the Top: Imagining Miniaturized Electro-Mechanical Switches in Low-Power Computing Applications April 26, 2022Accelerating Semiconductor Module Development using Shared Process Libraries April 15, 2022>> View all blogsCase StudiesA Sub-1 Hz Resonance Frequency Resonator Enabled by Multi-Step Tuning for Micro-SeismometerCoventorMP, MEMSRead more>> View all case studiesProduct InformationProduct OfferingsTechnical Support & TrainingLicensingSystem RequirementsResourcesBlogCase StudiesVideos2018 MEMS Design ContestCompanyAboutPressPartners & ProgramsContact© Copyright Coventor Inc., A Lam Research Company, All Rights Reserved Privacy Policy • Terms of UseContact UsLOG INREGISTER